Skip to main content

What is IC design flow?

 


The main process of front-end design

 

1. Determine the specifications

 

Chip specifications, also like a list of features, is the customer to the chip design company (called Fabless, waferless design company) proposed design requirements, including the chip needs to achieve specific functional and performance requirements.

 

2. Define the architecture

 

Fabless comes up with a specific implementation architecture and the functions of each module based on the specifications proposed by the customer.

 

3. HDL coding

 

Use hardware description language (VHDL, Verilog HDL, industry companies generally use the latter) to describe the module function in code to achieve, that is, the actual hardware circuit function is described by HDL language to form RTL (register transfer level) code.

 

4. Simulation verification

 

Simulation verification is to check the correctness of the coding design, and the criteria for the verification is the specification developed in the first step. See if the design meets all the requirements of the specification. The specification is the gold standard for the correctness of the design, and all violations, which do not meet the requirements of the specification, need to revise the design and coding. Design and simulation verification is an iterative process until the verification results show full compliance with the specification criteria.

 

Simulation and verification tools Mentor's Modelsim, Synopsys' VCS, and Cadence's NC-Verilog are available to verify the design of RTL-level code, and individuals generally use the first Modelsim for this part, which is called the pre-simulation, and the next simulation after the synthesis of the logic part can be called the post-simulation. This part is called pre-simulation, and the next simulation after the synthesis of the logic part can be called post-simulation.

 

5. Logic synthesis - Design Compiler

 

After the simulation verification, logic synthesis is performed. The result of logic synthesis is the translation of the HDL code implemented in the design into a gate-level netlist. synthesis requires the setting of constraints, that is, you want to synthesize the circuit in terms of area, timing and other target parameters to achieve the standard.

 

Logic synthesis needs to be based on a specific synthesis library, and the area and timing parameters of the basic standard cell of a gate circuit are different in different libraries. Therefore, ** the choice of synthesis library is not the same, the synthesis of the circuit in the timing, area is different. **In general, after the synthesis is completed, the simulation needs to be done again to verify (this is also called post-simulation, before the simulation is called pre-simulation).

 

Logic synthesis tool Synopsys Design Compiler, simulation tools to choose the above three simulation tools are available.

 

6. STA

 

Static Timing Analysis (STA), static timing analysis, which also belongs to the verification category, it is mainly in the timing of the circuit to verify, ** check whether the circuit exists to establish time (setup time) and hold time (hold time) violation (violation). **This is a digital circuit basics, a register with these two timing violations, there is no way to correctly sample data and output data, so the digital chip function based on the register will definitely have problems.

 

STA tools are Synopsys' Prime Time.

 

7. Formal verification

 

This is also the verification category, which verifies the synthesized netlist functionally (STA is timing wise). A common method is to check for equivalence, using the functionally verified HDL design as a reference, and comparing the synthesized netlist functions to see if they are functionally equivalent. This is done to ensure that the circuit functions described in the original HDL were not changed during the logic synthesis. The flow of the front-end design is written here for now. In terms of design, the front-end design results in a gate-level netlist circuit for the chip.

 

 

Backend design flow

 

1. DFT

 

Design ForTest, design for testability. A common method of DFT is to insert a scan chain into the design, turning non-scan cells (such as registers) into scan cells. About DFT, some books have a detailed description, compared to the picture will be better to understand a little.

 

DFT tool Synopsys DFT Compiler.

 

2. Layout planning (Floor Plan)

 

Layout planning is to place the chip's macro cell module, in general to determine the location of various functional circuits, such as IP modules, RAM, I/O pins and so on. Layout planning can directly affect the final area of the chip.

 

The tool is Synopsys' Astro.

 

3. CTS

 

Clock Tree Synthesis, simply put, is the wiring of the clock. Due to the global command role of the clock signal in the digital chip, its distribution should be symmetrical connected to each register unit, so that the clock from the same clock source to each register, the clock delay difference is minimal. This is why the clock signals need to be wired separately.

 

The CTS tool is Synopsys' Physical Compiler.

 

4. Wiring (Place & Route)

 

The wiring here is the general signal wiring, including the alignment between various standard units (basic logic gate circuits). For example, we usually hear the 0.13um process, or 90nm process, is actually the minimum width of metal wiring can be achieved here, from a microscopic point of view is the MOS tube channel length.

 

The wiring tool is Synopsys' Astro.

 

5. Parasitic parameters extraction

 

Due to the resistance of the wire itself, the mutual inductance between adjacent wires, coupling capacitance inside the chip will generate signal noise, crosstalk and reflection. These effects can produce signal integrity problems, resulting in signal voltage fluctuations and variations, if serious will lead to signal distortion errors. It is very important to extract parasitic parameters for re-analysis and verification to analyze signal integrity issues.

 

Tool Synopsys' Star-RCXT.

 

6.Physical verification of layout

 

The physical layout of the completed wiring is verified in function and timing, and there are many verification items, such as LVS (Layout Vs Schematic) verification, which is simply the comparison verification of the layout and the logic synthesis of the gate level circuit diagram; DRC (Design Rule Checking): design rule checking, checking whether the wire spacing, wire width, etc. meet the process requirements, ERC (Electrical Rule Checking): electrical rule checking, checking electrical rule violations such as short circuit and open circuit; etc. (Electrical Rule Checking): electrical rule checking, checking short circuit and open circuit and other electrical rule violations; and so on.

 

The tool is Synopsys' Hercules.

 

The actual back-end process also includes circuit power analysis, and DFM (Design for Manufacturability) issues that arise as manufacturing processes continue to advance, which are not addressed here. The completion of physical layout verification is also the completion of the entire chip design phase, and the following is the chip manufacturing.

 

The physical layout is handed over to the chip foundry (called Foundry) in GDSII file format to make the actual circuit on the wafer, and then package and test it to get the actual chip we see.

Comments

Popular posts from this blog

The biggest problem with the latest 56 semiconductor manufacturers suspend orders, price increased, and long lead time. How can you fix it?

 Following the suspension of MCU orders by ELAN , Holtek Semiconductor issued a notice on 21st April suspending orders with immediate effect. The price of various semiconductors, especially MCUs, has risen as a result of factors such as the tightness of 8-inch wafer foundries. The demand for MCUs is so high that many major MCU manufacturers at home and abroad are operating at full capacity, but supply still exceeds demand. In its notice, Holtek  Semiconductor stated that Suspension of orders for 2022 Subject: Orders with delivery dates in 2022 are suspended with immediate effect.   Description: 1. The wafer fabs and packaging houses have advised that there will be another wave of price increases soon - price increases of 15%-30%. 2. The fabs are expected to provide 2022 production numbers by early May and will announce 2022 order acceptance rules when confirmed.   3. expected to resume accepting orders for 2022 by mid-May. 4. 2022 orders that have received deposits will be rescheduled

Understanding of DC-DC buck bootstrap circuit

In the peripheral circuit design of DC-DC BUCK chips, we usually add capacitors or a combination of capacitors + resistors between the BOOT and SW pins, this piece of circuit is called bootstrap circuit, the capacitors and resistors in the bootstrap circuit are called bootstrap capacitors and bootstrap resistors.   What is a bootstrap capacitor?   DCDC Buck chip has a pin called BOOT, and some are called BST. The following is an explanation of the BOOT pin of a DCDC chip. In the external circuit design, a capacitor, generally 0.1uF, is needed between the BOOT and SW pin, and is connected to the driver end of the high-end MOS tube of DCDC.     How does a bootstrap capacitor work?   The following is a block diagram of a DCDC BUCK chip, the top NMOS is called the high-side MOSFET and the bottom NMOS is called the low-side MOSFET.     When the high side MOS tube is turned on, SW is VIN, SW charges and stores energy in the inductor, and the inductor current is rising; when the low side MOS

2022 global chip shortage continues: ST、NXP、ADI、XILINX、ONSEMI、DIODES... latest trends

Under the influence of the epidemic and various emergencies around the world, the global chip industry fell into a shortage of stock in 2021. Now it has been a year, and the shortage of chips seems to have not eased. Below, we have collated the latest market developments of the original chip manufacturers such as ST, Renesas, NXP, ADI, ON Semiconductor, Microchip, Qualcomm, etc., so that you can have a good idea of the market situation. ST: Large shortage of high-end products and automotive chips Most stockists have been selling off since the prices of ST  products have fallen back, but this month has seen a small rebound. For example, STM8S003F3P6TR  and STM32F103VCT6 , two pieces of material, have seen a small price increase. It is worth noting that the market price of ST's brake system chips has recently soared, and other automotive chips have also risen, and there is still a large shortage of high-end products and automotive chips, and delivery times are still long.   In additi

Teach you 5 ways to identify and avoid counterfeit electronic components in a second

In the process of purchasing electronic components, the most worrying thing for buyers is not the price, but the quality of the product. There are a variety of IC chips on the market, of all kinds, and without paying attention to the distinction, it is sometimes difficult to see the difference between various materials, whether it is true or false, new or refurbished. The following is a compilation of some methods to identify genuine and fake chips, for your reference. Common chip counterfeiting methods Material A counterfeit material A Original manufacturer's tailor loose material: the original packaging has been disassembled or is no longer available, but product functionality and yield may be lower due to storage time or handling process, etc. Original manufacturer scrap or defective products: mainly products that have not passed factory inspection by the original manufacturer, such as scrap products after reliability testing, poor packaging quality, bad test products, etc. Orig

9 effective ways to improve your electronic components specification for approval

1. Let purchasers find manufacturers to provide specifications, safety information, environmental protection information, insurance information - E-document 2. Verify that the information is true and complete, such as the applicable period of the document, so as not to be fooled by the manufacturer. 3. Let the buyer find the manufacturer to provide samples, specifications, safety information, environmental information, insurance information - Paper files 4. Environmental test: send several samples to the environmental laboratory for ROSH halogen test. 5. Electrical specifications and high-temperature testing - e.g. electrolytic capacitors :  A: measuring capacity and deviation withstand voltage, PIN foot tin is good B: go through the production line to see if the capacitors are deformed after the high temperature of the furnace and if the capacity and the deviation voltage are okay. 6. Body size check - e.g. electrolytic capacitors . Body height and diameter, PIN pin spacing, PIN pin d